18禁美女黄网站色大片免费看,铁矿石期货实时行情,猛烈h继攵禁忌h,乱人伦人妻精品一区二区

首頁 > 要聞 >

全球速看:09-寄存器

2023-05-24 23:38:10 博客園


(資料圖片)

1.寄存器

組合邏輯存在一個最大的缺點就是存在競爭與冒險,系統(tǒng)會產(chǎn)生不定態(tài);使用時序邏輯電路就會極大的改善這種情況寄存器具有存儲功能,一般是由D觸發(fā)器構成,由時鐘脈沖控制,每個D觸發(fā)器能夠存儲一位二進制碼D觸發(fā)器工作原理:在一個脈沖信號(一般為晶振產(chǎn)生的時鐘脈沖)上升沿或者是下降沿作用下,將信號從輸入端D送到輸出端Q,如果時鐘脈沖的邊沿信號未出現(xiàn),即使輸入信號改變,輸出信號仍保持原來的值,且寄存器擁有復位清零功能,其復位又分為同步復位和異步復位.

2.FPGA設計KEY1控制LED6,按鍵按下,LED燈點亮;按鍵松開,LED燈熄滅2.1 框圖和波形圖時鐘和復位信號n-表示低電平有效2.2 同步復位的D觸發(fā)器和異步復位的D觸發(fā)器同步復位同步是工作時鐘同步復位的意思,當時鐘的上升沿或者下降沿到來時,檢測到按鍵的復位操作才是有效的同步復位理解:復位信號與時鐘上升沿不同步,此時,輸出不會隨復位信號的變化立即變化,直到時鐘上升沿采樣到復位信號,才發(fā)生變化異步復位異步復位就是工作時鐘不同步的意思,復位信號不關心時鐘信號,當寄存器收到復位信號的時候,立即執(zhí)行復位,不用管是不是時鐘上升沿時序邏輯過濾毛刺

使用按鍵控制LED燈,按鍵輸入的信號輸入給輸出信號,假如在某個時鐘周期內(nèi)輸入信號產(chǎn)生了毛刺:*對于組合邏輯,輸出也會有毛刺

對于時序邏輯電路,寄存器在時鐘上升沿進行采樣,采樣之后,一個周期內(nèi)都維持一樣的值,兩個上升沿之間的毛刺現(xiàn)象都可以被過濾掉,提高電路的可靠性時序邏輯延遲打拍組合邏輯電路,時鐘和數(shù)據(jù)對齊,時鐘上升沿采集到的是時鐘上升沿對應的值時序邏輯電路,時鐘和數(shù)據(jù)對齊,默認采集到的是上升沿對應數(shù)據(jù)前一時刻的值

2.3 RTL

時鐘,晶振輸入,50MHz復位信號,低電平有效,由板卡的復位按鍵輸入
module filp_flop(  input wire sys_clk,  input wire sys_rst_n,  input wire key_in,    output reg led_out);      // 同步復位  always@(posedge sys_clk)    if(sys_rst_n == 1"b0)      let_out <= 1"b0;    else       let_out <= key_in;endmodule
創(chuàng)建quartus項目,添加文件,進行全編譯
module filp_flop(  input wire sys_clk,  input wire sys_rst_n,  input wire key_in,    output reg led_out);      // 異步復位  always@(posedge sys_clk or negedge sys_rst_n)    if(sys_rst_n == 1"b0)      let_out <= 1"b0;    else       let_out <= key_in;endmodule

推薦使用異步復位

2.4 Testbench
// 同步復位`timescale 1ns/1nsmodule tb_flip_flop();  reg sys_clk;  reg sys_rst_n;  reg key_in;  initial begin    sys_clk <= 1"b1;    sys_rst_n <= 1"b0;    key_in <= 1"b0;    #20;    sys_rst_n <=1"b1;    #210;    sys_rst_n <=1"b0;    #40;    sys_rst_n <=1"b1;  end  initial begin    $timeformat(-9,0,"ns",6);    $monitor("@time:%t:key_in=%b,led_out=%b",$time,key_in,led_out);  end  // 模擬系統(tǒng)時鐘  always begin    #10;    sys_clk = ~sys_clk;  end  // 時鐘周期是20ns,保證每次數(shù)據(jù)變化小于時鐘周期,避免差生毛刺  always #20 key_in <= {$random} % 2;    flip_flop flip_flop_inst(    .sys_clk (sys_clk),    .sys_rst_n (sys_rst_n),    .key_in (key_in),    .led_out (led_out)  );endmodule  
加載仿真文件,進行仿真設置同步復位異步復位

關鍵詞

相關推薦

上课忘穿内裤被老师摸到高潮| 强壮的公次次弄得我高潮a片日本 高h短篇辣肉各种姿势自慰h | 高h喷水荡肉自慰爽文np| 国产绳艺sm高潮调教失禁vk| 大炕上的性满足| 国产一区二区三区成人欧美日韩在线观看| 巴巴在线电影| 欧美精品视频| 妓女嫖客叫床粗话对白| 和朋友换娶妻a2020| 女人扒开屁股爽桶30分钟| 亚洲国产精品国自产拍av| 亚洲 日本 欧美 中文幕| 最近中文字幕2019免费版日本| 国产欧美精品123区发布| 在c用力把桌腿a抬离地面时小说| 精品熟女少妇av免费久久| 日本漫画工囗全彩内番漫绅士| 少妇被又大又粗又爽毛片欧美| 久久人人97超碰a片精品| 宝贝腿开大点我添添公交车| 国产丰满成熟女人性满足视频| 老师在办公室被躁在线观看| 久久久久se色偷偷亚洲精品av| 表妺好紧竟然流水了在线观看| 日韩a人毛片精品无人区乱码| 嫩模被强到高潮呻吟不断| 腿张大点就可以吃扇贝了| 国产伦精品一区二区三区视频 | 天天躁日日躁狠狠躁av麻豆男男| 免费av网站| 国产免费久久精品99久久| 免费看男男gay啪啪网站| 校花被校长啪到腿软| 玉蒲团之性战奶水武则天| 无人在线观看免费高清视频| 宝贝打开点我要尿里面h| 国产大片资源中文字幕| 推特app下载| 久久人人97超碰a片精品| 扶着小表妺的小屁股坐下来|